/*
*/
//
"
"
'd
'h
'b
'o
(
)
=
!
+
-
/
*
>
<
%
&
|
^
~
}
{
always
assign
begin
case
casex
casez
default
deassign
disable
else
end
endcase
endfunction
endgenerate
endmodule
endprimitive
endspecify
endtable
endtask
for
force
forever
fork
function
generate
if
initial
join
macromodule
module
negedge
posedge
primitive
repeat
release
specify
table
task
wait
while
`include
`define
`undef
`ifdef
`ifndef
`else
`endif
`timescale
`resetall
`signed
`unsigned
`celldefine
`endcelldefine
`default_nettype
`unconnected_drive
`nounconnected_drive
`protect
`endprotect
`protected
`endprotected
`remove_gatename
`noremove_gatename
`remove_netname
`noremove_netname
`expand_vectornets
`noexpand_vectornets
`autoexpand_vectornets
integer
reg
time
realtime
defparam
parameter
event
wire
wand
wor
tri
triand
trior
tri0
tri1
trireg
vectored
scalared
input
output
inout
supply0
supply1
strong0
strong1
pull0
pull1
weak0
weak1
highz0
highz1
small
medium
large
$stop
$finish
$time
$stime
$realtime
$settrace
$cleartrace
$showscopes
$showvars
$monitoron
$monitoroff
$random
$printtimescale
$timeformat
and
nand
or
nor
xor
xnor
buf
bufif0
bufif1
not
notif0
notif1
nmos
pmos
cmos
rnmos
rpmos
rcmos
tran
tranif0
tranif1
rtran
rtranif0
rtranif1
pullup
pulldown